【VerySky原创】 ME9F

news/2024/5/19 13:09:44

【VerySky原创】

V_EKKONA - Generierte Tabelle zu einem View

V_EKKONA-PFLD4 字段

SE71查看

至于图片:在表STXBITMAPS中

 

 SE78查看,SMW0;

 

 

SAPScript 显示图片的上载和传输 2010-02-01 10:58:35

From:

http://blog.chinaunix.net/uid-7226720-id-2062026.html

分类:

在SAPScript中显示图片,首先我们需要将图片上载到SAP系统中并传输到相应的生产机。
 
方式一:
  1.在本地将你需要上传的图片使用photoshop另存为tif文件。需要注意使用mspaint另存为的文件在使用第二步时会出现错误;
  2.使用report RSTXLDMC 将本地tif文件上传到SAP为standard text;
  3.使用SO10查看文件是否上传成功;
  4.使用report RSTXTRAN将standard text 包含到一个CR中。该report的具体使用可以查看notes 155118和3355 ;
  5.传输相应的CR到其他系统。
 
方式二:如果没有运行RSTXLDMC的权限,则直接在SO10将standard text下载为rtf文件,然后在另一台服务器通过SO10上载即可。
 
方式三:使用SE78上传图片,然后直接在SE78中点传输按钮包到CR中。
 
 
blog.chinaunix.net/uid-8527621-id-2029649.html
SAPScript:

Smartforms:

 

 

"TEST" "TESTMON"为事先上传到SE78的BMP文件。

转载于:https://www.cnblogs.com/VerySky/p/3338871.html


http://www.niftyadmin.cn/n/2521328.html

相关文章

揭开.NET程序保护的秘密

.NET程序保护主要有如下几种形式 1. 混淆 2. 编译到本地代码 3. 把代码隐藏在资源中 1. 混淆 这部分的保护软件以Dotfuscator和XenoCode为代表。Dotfuscator是比较初级的混淆器,采取的主要策略是名字混淆&#xff…

SQLSTATE[22001]: String data, right truncated: 1406 Data too long for column

当遇到这个问题的时候,有两个方面去解决 1、mysql 配置的问题 找到 my.cnf 在my.ini里找到sql-mode”STRICT_TRANS_TABLES,NO_AUTO_CREATE_USER,NO_ENGINE_SUBSTITUTION” 把其中的STRICT_TRANS_TABLES,去掉,或者把sqlmodeSTRICT_TRANS_TABLES,NO_AUTO_CREATE_USE…

Quartz Cron 表达式

Cron 表达式包括以下 7 个字段 格式: [秒] [分] [小时] [日] [月] [周] [年] 说明 是否必填 允许填写的值 允许的通配符 秒 是 0-59 , - * / 分 是 0-59 , - * / 时 是 …

nginx 配置 按日期输出 日志

listen 80;server_name _;if ($time_iso8601 ~ (\d{4}-\d{2}-\d{2})) {set $day $1;}access_log /data/wwwlogs/sms_nginx_$day.log combined;

说明你们已经不再是普通的朋友

人活着,除了亲人的爱,还有真心朋友的爱。因为缘分,我们相遇、相知、相惜,相互尊重。当一个人与你没有了距离,说明你们已经不再是普通的朋友,而是走进了心里的人,也是在乎你的人。别觉得黏着你&a…

利用Servlet在客户端输出PDF文件

在学习编程的过程中,我觉得不止要获得课本的知识,更多的是通过学习技术知识提高解决问题的能力,这样我们才能走在最前方,本文主要讲述利用Servlet在客户端输出PDF文件,更多Java专业知识,广州疯狂java培训为…

用定时器处理数组 setTimeout()

1 // 用定时器处理数组2 var items [1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18];3 4 function processArray(items, process, callback){5 var todo items.concat(); // 克隆原数组6 7 setTimeout(function(){8 process(todo.sh…

nginx 配置错误日志输出

listen 80; server_name _; error_log /data/wwwlogs/sms_error.log [error_level];错误级别 error_level: 常见的错误日志级别有[debug | info | notice | warn | error | crit | alert | emerg],级别越高记录的信息越少。 生产场景一般是 warn | error | crit 这…